Career Profile

Since 2023 I enjoy a Ramón y Cajal (RyC) contract at Universitat Politècnica de València. My research interests include the area of computer architecture with particular emphasis on multi-threaded processors.

Awards and Honours

2018 -> Extraordinary Doctoral Thesis Award
Universitat Politècnica de València

2017 -> IEEE TCSC Outstanding Ph.D Dissertation Award
IEEE Technical Committee on Scalable Computing (TCSC)

2017 -> Research Award of the Computing Scientific Society of Spain (SCIE) and BBVA Foundation
Premios de Investigación Sociedad Científica Informática de España (SCIE) - Fundación BBVA

Publications

2023

CELLO: Compiler-Assisted Efficient Load-Load Ordering in Data-Race-Free Regions
Sawan Singh, Josué Feliu, Manuel E. Acacio, Alexandra Jimborean, and Alberto Ros
32nd International Conference on Parallel Architectures and Compilation Techniques (PACT), pp. 1 - 13, Vienna, Austria, 2023

Download:   Paper
Rebasing Microarchitectural Research with Industry Traces
Josué Feliu, Arthur Perais, Daniel A. Jiménez, and Alberto Ros
26th IEEE International Symposium on Workload Characterization (IISWC), pp. 100 - 114, Ghent, Belgium, 2023

Download:   Paper   Slides
Cloud White: Detecting and Estimating QoS Degradation of Latency-Critical Workloads in the Public Cloud
Lucia Pons, Josué Feliu, Julio Sahuquillo, María E. Gómez, Salvador Petit, Julio Pons, and Chaoyi Huang
Future Generation Computer Systems (FGCS), Vol. 138, pp. 13 - 25, 2023

Download:   Paper
Speculative inter-thread store-to-load forwarding in SMT architectures
Josué Feliu, Alberto Ros, Manuel E. Acacio, and Stefanos Kaxiras
Journal of Parallel and Distributed Computing (JPDC), Vol. 173, pp. 94 - 106, 2023

Download:   Paper
Thread-to-Core Allocation in ARM Processors Building Synergistic Pairs
Marta Navarro, Josué Feliu, Salvador Petit, María E. Gómez, and Julio Sahuquillo
32nd International Conference on Parallel Architectures and Compilation Techniques (PACT), pp. 355 - 356, Vienna, Austria, 2023

2022

VMT: Virtualized Multi-Threading for Accelerating Graph Workloads on Commodity Processors
Josué Feliu, Ajeya Naithani, Julio Sahuquillo, Salvador Petit, Moinuddin K. Qureshi, and Lieven Eeckhout
IEEE Transactions on Computers (TC), Vol 71, No 6, pp. 1386 - 1398, 2022

Download:   Paper
The Forward Slice Core: A High-Performance, Yet Low-Complexity Microarchitecture
Kartik Lakshminarasimhan, Ajeya Naithani, Josué Feliu, and Lieven Eeckhout
ACM Transactions on Architecture and Code Optimization (TACO), Vol 19, pp. 17:1 - 17:25, 2022

Download:   Paper
DeepP: Deep Learning Multi-Program Prefetch Configuration for the IBM POWER 8
Manel Lurbe, Josué Feliu, Salvador Petit, María E. Gómez, and Julio Sahuquillo
IEEE Transactions on Computers (TC), Vol 71, No 10, pp. 2646 - 2658, 2022

Download:   Paper
Effect of Hyper-Threading in Latency-Critical Multithreaded Cloud Applications and Utilization Analysis of the Major System Resources
Lucia Pons, Josué Feliu, José Puche, Chaoyi Huang, Salvador Petit, Julio Pons, María E. Gómez, and Julio Sahuquillo
Future Generation Computer Systems (FGCS), Vol. 131, pp. 194 - 208, 2022

Download:   Paper
A Neural Network to Estimate Isolated Performance from Multi-Program Execution
Manel Lurbe, Josué Feliu, Salvador Petit, María E. Gómez, and Julio Sahuquillo
30th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP), pp. 63 - 66, Valladolid, Spain, 2022

2021

ITSLF: Inter-Thread Store-to-Load Forwardingin Simultaneous Multithreading
Josué Feliu, Alberto Ros, Manuel E. Acacio, and Stefanos Kaxiras
54th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pp. 1296 - 1310, Virtual Event, Grece, 2021

Honorable Mention in the IEEE Micro Special Issue on Top Picks from the 2021 computer architecture conferences (top 24 paper)
Download:   Paper   Slides

2020

Precise Runahead Execution
Ajeya Naithani, Josué Feliu, Almutaz Adileh, and Lieven Eeckhout
26th IEEE International Symposium on High Performance Computer Architecture (HPCA), pp. 397-410, San Diego, California, USA, 2020

Download:   Paper
The Forward Slice Core Microarchitecture
Kartik Lakshminarasimhan, Ajeya Naithani, Josué Feliu, and Lieven Eeckhout
29th International Conference on Parallel Architectures and Compilation Techniques (PACT), pp. 316 - 372, Virtual Event, GA, USA, 2020

Download:   Paper
Thread Isolation to Improve Symbiotic Scheduling on SMT Multicore Processors
Josué Feliu, Julio Sahuquillo, Salvador Petit, and Lieven Eeckhout
IEEE Transactions on Parallel and Distributed Systems (TPDS), Vol 31, No 2, pp. 359-373, 2020.

Download:   Paper
Bandwidth-Aware Dynamic Prefetch Configuration for IBM POWER8
Carlos Navarro, Josué Feliu, Salvador Petit, Maria Engracia Gómez, and Julio Sahuquillo
IEEE Transactions on Parallel and Distributed Systems (TPDS), Vol 31, No 8, pp. 1970-1982, 2020.

Download:   Paper

2019

Precise Runahead Execution
Ajeya Naithani, Josué Feliu, Almutaz Adileh, and Lieven Eeckhout
IEEE Computer Architecture Letters (CAL), Vol 18, No 1, pp. 71-74, 2019.

Download:   Paper

2018

Designing lab sessions focusing on real processors for computer architecture courses: A practical perspective
Josué Feliu, Julio Sahuquillo, and Salvador Petit
Journal of Parallel and Distributed Computing (JPDC), Vol 118, No 1, pp. 128-139, 2018.

Download:   Paper
A Workload Generator for Evaluating SMT Real-Time Systems
Clara Furió, Josué Feliu, Salvador Petit, José Duro, and Julio Sahuquillo
The 4th International Workshop on Modeling and Simulation of Parallel and Distributed Systems, held in conjuction with the International Conference on High Performance Computing & Simulation (HPCS), pp. 367-374, Orléans, France, 2018

Download:   Paper

2017

Improving IBM POWER8 Performance through Symbiotic Job Scheduling
Josué Feliu, Stijn Eyerman, Julio Sahuquillo, Salvador Petit, and Lieven Eeckhout
IEEE Transactions on Parallel and Distributed Systems (TPDS), Vol 28, No 10, pp. 2383-2851, 2017.

Download:   Paper
Perf&Fair: a Progress-Aware Scheduler to Enhance Performance and Fairness in SMT Multicores
Josué Feliu, Julio Sahuquillo, Salvador Petit, and José Duato
IEEE Transactions on Computers (TC), Vol 66, No 5, pp. 905-911, 2017

Download:   Paper

2016

Symbiotic Job Scheduling on the IBM POWER8
Josué Feliu, Stijn Eyerman, Julio Sahuquillo, and Salvador Petit
22nd IEEE International Symposium on High Performance Computer Architecture (HPCA), pp. 669-680, Barcelona, Spain, 2016

Download:   Paper   Slides
Bandwidth-Aware On-Line Scheduling in SMT Multicores
Josué Feliu, Julio Sahuquillo, Salvador Petit, and José Duato
IEEE Transactions on Computers (TC), Vol 65, No 2, pp. 422-434, 2016

Download:   Paper

2015

Addressing Fairness in SMT Multicores with a Progress-Aware Scheduler
Josué Feliu, Julio Sahuquillo, Salvador Petit, and José Duato
29th IEEE International Parallel and Distributed Processing Symposium (IPDPS), pp. 187-196, Hyderabad, India, 2015

Download:   Paper   Slides

2014

Cache-Hierarchy Contention-Aware Scheduling in CMPs
Josué Feliu, Salvador Petit, Julio Sahuquillo, and José Duato
IEEE Transactions on Parallel and Distributed Systems (TPDS), Vol 25, No 3, pp. 581-590, 2014

Download:   Paper
Addressing Bandwidth Contention in SMT Multicores Through Scheduling
Josué Feliu, Julio Sahuquillo, Salvador Petit, and José Duato
Proceedings of the 28th International Conference on Supercomputing (ICS), pp. 167, Munich, Germany, 2014

Download:   Paper   Poster

2013

L1-Bandwidth Aware Thread Allocation in Multicore SMT Processors
Josué Feliu, Julio Sahuquillo, Salvador Petit, and José Duato
22nd International Conference on Parallel Architectures and Compilation Techniques (PACT), pp. 123-132, Edinburgh, Scotland, 2013

Download:   Paper   Slides
Using Huge Pages and Performance Counters to Determine the LLC Architecture
Josué Feliu, Julio Sahuquillo, Salvador Petit, and José Duato
13th International Conference on Computational Science (ICCS), pp. 2557-2560, Barcelona, Spain, 2013

Download:   Paper   Poster

2012

Understanding Cache Hierarchy Contention in CMPs to Improve Job Scheduling
Josué Feliu, Julio Sahuquillo, Salvador Petit, and José Duato
26th IEEE International Parallel and Distributed Processing Symposium (IPDPS), pp. 508-519, Shangai, China, 2012

Download:   Paper   Slides

Thesis

Contention-Aware Scheduling for SMT Multicore Processors
Josué Feliu
Universitat Politècnica de València, Frebruary 2017

Download:   Thesis   Slides